A Reliable High-speed Compact In-memory Matching Circuit for CAM-Application Based on NV-RAM

  • Quang-Manh Duong
  • Quang-Kien Trinh Le Quy Don Technical University
  • Hai-Duong Nguyen
  • Van-Phuc Hoang
  • Hoang-Gia Vu
  • Dinh-Ha Dao
  • Duy-Manh Luong
  • Van-Toan Tran
Keywords: In-memory computing, MRAM, NV-RAM, NV-TCAM, CAM matching circuit, high-speed

Abstract

This paper presents an effective approach for
implementing content address memory (CAM) based on Nonvolatile random-access memory (NV-RAM) technologies. We
used the 2T-2R bitcell structure implemented on a 65nm
CMOS process with a special in-memory matching circuit
for realizing low-delay and energy-efficient lookup operations.
The simulation results on Synopsys HSPICE indicate that the
proposed CAM design can achieve a search error rate of
0.03-4.61%, search energy per bit of 4.36-6.47 fJ, and an
extremely small search latency varying from 0.11-0.12 ns
depending on the specific design configurations.

References

T. Kohonen, Content-Addressable Memories, ser. Springer Series in Information Sciences. Springer Berlin Heidelberg, 2012. [Online]. Available: https://books.google.com.vn/books?id=A-KpCAAAQBAJ

R. Karam, R. Puri, S. Ghosh, and S. Bhunia, “Emerging trends in design and applications of memory-based computing and content-addressable memories,” Proceedings of the IEEE, vol. 103, no. 8, pp. 1311–1330, 2015.

H.-J. Tsai, K.-H. Yang, Y.-C. Peng, C.-C. Lin, Y.-H. Tsao, M.-F. Chang, and T.-F. Chen, “Energy-efficient tcam search engine design using priority-decision in memory technology,” IEEE Transactions on Very Large Scale Integration

(VLSI) Systems, vol. 25, no. 3, pp. 962–973, 2017.

T. Venkata Mahendra, S. Wasmir Hussain, S. Mishra, and A. Dandapat, “Energy-efficient precharge-free ternary content addressable memory (tcam) for high search rate applications,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 67, no. 7, pp. 2345–2357, 2020.

T. V. Mahendra, S. W. Hussain, S. Mishra, and A. Dandapat, “Low match-line voltage swing technique for content addressable memory,” in 2019 7th International Conference on Smart Computing Communications (ICSCC), 2019, pp. 1–5.

S. Jiang, P. Yan, and R. Sridhar, “A high speed and low power content-addressable memory(cam) using pipelined scheme,” in 2015 28th IEEE International System-on-Chip Conference (SOCC), 2015, pp. 345–349.

N. Mohan, W. Fung, and M. Sachdev, “Low-power priority encoder and multiple match detection circuit for ternary content addressable memory,” in 2006 IEEE International SOC Conference, 2006, pp. 253–256.

Y.-H. Lee, I.-C. Lin, and S.-W. Wang, “Impacts of nbti and pbti effects on ternary cam,” in International Symposium on Quality Electronic Design (ISQED), 2013, pp. 38–45.

I.-C. LIN, Y.-H. LEE, and S.-W. WANG, “Reducing aging effects on ternary cam,” IEICE Transactions on Electronics, vol. E99.C, pp. 878–891, 07 2016.

K. Pagiamtzis and A. Sheikholeslami, “Content-addressable memory (cam) circuits and architectures: a tutorial and survey,” IEEE Journal of Solid-State Circuits, vol. 41, no. 3, pp. 712–727, 2006.

R. Bez and A. Pirovano, “Non-volatile memory technologies: emerging concepts and new materials,” Materials Science in Semiconductor Processing, vol. 7, no. 4, pp. 349–355, 2004, papers presented at the E-MRS 2004 Spring Meeting Symposium C: New Materials in Future Silicon Technology. [Online]. Available: https://www.sciencedirect.com/science/article/pii/S13698001 04001003

H.-S. P. Wong and S. Salahuddin, “Memory leads the way to better computing,” Nature nanotechnology, vol. 10, no. 3, pp. 191–194, 2015.

K. T. Quang, S. Ruocco, and M. Alioto, “Modeling the impact of dynamic voltage scaling on 1t-1j stt-ram write energy and performance,” in 2015 IEEE International Symposium on Circuits and Systems (ISCAS), 2015, pp. 2313–2316.

Q. K. Trinh, S. Ruocco, and M. Alioto, “Novel boostedvoltage sensing scheme for variation-resilient stt-mram read,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 63, no. 10, pp. 1652–1660, 2016.

J. Li, R. Montoye, M. Ishii, K. Stawiasz, T. Nishida, K. Maloney, G. Ditlow, S. Lewis, T. Maffitt, R. Jordan, L. Chang, and P. Song, “1mb 0.41 ????m2 2t-2r cell nonvolatile tcam with two-bit encoding and clocked self-referenced sensing,” in 2013 Symposium on VLSI Technology, 2013, pp. C104– C105.

C.-C. Lin, J.-Y. Hung, W.-Z. Lin, C.-P. Lo, Y.-N. Chiang, H.-J. Tsai, G.-H. Yang, Y.-C. King, C. J. Lin, T.-F. Chen, and M.-F. Chang, “7.4 a 256b-wordlength reram-based tcam with 1ns search-time and 14× improvement in wordlengthenergyefficiency-density product using 2.5t1r cell,” in 2016 IEEE International Solid-State Circuits Conference (ISSCC), 2016, pp. 136–137.

C. Kim and K.-W. Kwon, “3t-2r non-volatile tcam with voltage limiter and self-controlled bias circuit,” Electronics Letters, vol. 53, no. 13, pp. 837–839, 2017. [Online]. Available: https://ietresearch.onlinelibrary.wiley.com/doi/abs/10.1049/el .2017.1027

M.-F. Chang, L.-Y. Huang, W.-Z. Lin, Y.-N. Chiang, C.-C. Kuo, C.-H. Chuang, K.-H. Yang, H.-J. Tsai, T.-F. Chen, and S.-S. Sheu, “A reram-based 4t2r nonvolatile tcam using rcfiltered stress-decoupled scheme for frequent-off instant-on search engines used in iot and big-data processing,” IEEE Journal of Solid-State Circuits, vol. 51, no. 11, pp. 2786– 2798, 2016.

S. Matsunaga, A. Katsumata, M. Natsui, T. Endoh, H. Ohno, and T. Hanyu, “Design of a nine-transistor/two-magnetictunnel-junction-cell-based low-energy nonvolatile ternary content-addressable memory,” Japanese Journal of Applied Physics, vol. 51, no. 2, p. 02BM06, feb 2012. [Online]. Available: https://doi.org/10.1143/jjap.51.02bm06

B. Song, T. Na, J. P. Kim, S. H. Kang, and S.-O. Jung, “A 10t-4mtj nonvolatile ternary cam cell for reliable search operation and a compact area,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 64, no. 6, pp. 700–704, 2017.

C. Wang, D. Zhang, L. Zeng, E. Deng, J. Chen, and W. Zhao, “A novel mtj-based non-volatile ternary content-addressable memory for high-speed, low-power, and high-reliable search operation,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 66, no. 4, pp. 1454–1464, 2019.

W. Choi, K. Lee, and J. Park, “Low cost ternary content addressable memory using adaptive matchline discharging scheme,” in 2018 IEEE International Symposium on Circuits and Systems (ISCAS), 2018, pp. 1–4.

T.-N. Pham, Q.-K. Trinh, I.-J. Chang, and M. Alioto, “Sttbnn: A novel stt-mram in-memory computing macro for binary neural networks,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol. 12, no. 2, pp. 569–579, 2022.

V.-T. Nguyen, Q.-K. Trinh, R. Zhang, and Y. Nakashima, “Stt-bsnn: An in-memory deep binary spiking neural network based on stt-mram,” IEEE Access, vol. 9, pp. 151 373– 151 385, 2021.

R. E. Thomas, A. J. Rosa, and G. J. Toussaint, The analysis and design of linear circuits. John Wiley & Sons, 2016.

C. Augustine, N. N. Mojumder, X. Fong, S. H. Choday, S. P. Park, and K. Roy, “Spin-transfer torque mrams for low power memories: Perspective and prospective,” IEEE Sensors Journal, vol. 12, no. 4, pp. 756–766, 2012.

B. S. Kim, S. G. Park, Y. K. You, and S. I. Jung, “Probability & statistics for engineers & scientists,” 2011.

M. H. Abu-Rahma, Y. Chen, W. Sy, W. L. Ong, L. Y. Ting, S. S. Yoon, M. Han, and E. Terzioglu, “Characterization of sram sense amplifier input offset for yield prediction in 28nm cmos,” in 2011 IEEE Custom Integrated Circuits Conference (CICC), 2011, pp. 1–4.

A. K. Mishra, U. Chopra, and V. Dhandapani, “Comparative analysis in terms of power and delay of the different sense amplifier topologies,” Journal of Engg. Research EMSME Special Issue pp, vol. 47, p. 57, 2021.

Published
2022-09-30